Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho (Q28187867)

From Wikidata
Jump to navigation Jump to search
scientific article (publication date: 7 August 1992)
edit
Language Label Description Also known as
English
Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho
scientific article (publication date: 7 August 1992)

    Statements

    Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho (English)
    0 references
    7 August 1992
    0 references
    0 references
    257
    0 references
    5071
    0 references
    803-6
    0 references

    Identifiers

     
    edit
      edit
        edit
          edit
            edit
              edit
                edit
                  edit
                    edit